产品分类
新闻动态

8/16/32位实验开发系统,598PCI单片机实验仪

上海求育QY-JXSY40现代单片机微机EDA综合开发系统实验箱

上海求育QY-JXSY40现代单片机微机EDA综合开发系统实验箱集51,96,8088,PCI于一体,系统以8088实验系统作为基板,可单独作为8086微机原理和接口实验使用,也可分别叠插51卡、96卡、32位PCI卡,构成8/16/32位实验开发系统.通过选配EDA扩展卡,实现EDA和单片机两合一,可分别控制实验电路,支持EDA开发.实验电路单元独立开放,适应多种方式实验.系统配置2000/xp等操作平台的单片机·微机仿真调试软件,支持汇编、C51语言编译、调试;单片机在线下载软件等。电话021-69918115
详细信息:https://www.mmaan.com/cpjs/1551.html
实验项目

(一)51单片机实验
软件实验
(1)清零程序;
(2)拆字程序;
(3)拼字程序;
(4)数据区传送子程序;
(5)数据排序实验;
(6)查找相同数据个数;
(7)无符号双字节快速乘法子程序;
(8)多分支程序;
(9)脉冲计数实验;
(10)电脑时钟实验。
硬件实验
(1)P1口亮灯实验;
(2)P1口转弯灯实验;
(3)P3.3口输入,P1口输出实验;
(4)工业顺序控制实验;
(5)8255A、B、C口输出方波实验;
(6)8255PA口控制PB口;
(7)8255控制交通灯;
(8)简单I/O扩展实验;
(9)A/D0809转换实验;
(10)D/A0832转换实验;
(11)8279键盘显示实验;
(12)通用打印机实验;(打印机选配☆)
(13)微型打印机打印字符、曲线、汉字实验;(打印机选配☆)
(14)I2C储存卡读写实验;
(15)继电器控制实验;
(16)步进电机控制;
(17)8253方波实验;
(18)小直流电机调速实验;
(19)16*16LED点阵显示实验;
(20)128*64LCD液晶显示实验;
(21)8250可编程异步通讯接口实验(自发自收);
(22)8251可编程通讯接口实验(与PC机);
(23)单片机RS232/485串行发送实验(双机通讯);
(24)单片机RS232/485串行接收实验(双机通讯);
(25)DS18B20单总线温度测量实验
(26)压力实验;
(27)PWM实验
(28)射极跟随器
扩展卡实验(选配)☆
(1)POD1扩展卡主持以下实验:
看门狗实验
红外线遥控收发实验
165并转串
164串转并
查询式键盘
(2)POD2扩展卡主持以下实验:
LM331V/F、F/V实验
138译码
(3)POD3扩展卡主持以下实验:
串行EEPROM93C46读写实验
I2CAT24C02读写实验
TLC549串行A/D转换实验
TLC561510位D/A串行转换实验
PCF8563I²C日历时钟实验
(4)POD4扩展卡主持以下实验:
ISD1420语音录放
(5)POD5扩展卡:
40芯锁紧大孔引出,扩展主板以外接口芯片用。
(6)POD1032扩展卡:
主持Lattice公司:ISP1032E芯片实验开发。
(7)POD1C3扩展卡:
主持Atera公司:EP1C3T144芯片实验开发。
(8)POD1C6扩展卡:
主持Atera公司:EP1C6T144芯片实验开发。
(9)CAN卡:CAN总线通讯接口实验
(10)以太网卡:以太网TCP/IP协议接口实验
(11)USB2.0卡:USB2.0通讯接口实验。
(二)96单片机实验
软件实验
(1)清零程序
(2)拆字程序
(3)拼字程序
(4)数字区传送子程序
(5)数据排序实验
(6)查找相同个数
(7)无符号双字节快速乘法子程序
(8)多分支程序
硬件实验
(1)P1口亮灯实验
(2)P1口转弯灯实验
(3)P2.6口输入,P1口输出
(4)工业顺序控制
(5)8255A、B、C口输出方波
(6)8255PA口控制PB口
(7)8255控制交通灯
(8)简单I/O扩展
(9)A/D转换实验
(10)D/A转换实验
(11)8279键盘显示实验
(12)通用打印机☆
(13)继电器控制
(14)8253方波
(15)步进电机控制
(三)8086微机实验
软件实验:
(1)二进制多位加法实验
(2)二进制转换为BCD码实验
(3)BCD码转换为二进制码
(4)十进制数的BCD码相减运算
(5)内存清零实验
(6)数码显示实验
(7)求最大数和最小数
(8)数据块传送实验
(9)分支程序设计。
硬件实验:
(1)A/D转换实验
(2)D/A转换实验(一)
(3)D/A转换实验(二)
(4)8255A并行口实验(一)
(5)8255A并行口实验(二)
(6)定时器/计数器实验
(7)8259单级中断控制器实验
(8)串行口发送实验(双机通讯)
(9)串行口接收实验(双机通讯)
(10)小直流电机调速实验;
(11)步进电机控制
(12)继电器控制
(13)存贮器读写实验
(14)电子琴实验
(15)简单I/O口扩展实验
(16)8251可编程通讯接口和PC机通讯
(17)16*16LED点阵显示实验
(18)128*64LCD液晶显示实验
(19)8237DMA传送实验
(20)8250可编程异步通讯接口实验
(21)8279键盘显示实验
(22)温度测量实验
(23)压力测量实验
扩展卡实验(选配)☆
(1)POD4扩展卡主持以下实验:
·ISD1420语音录放
(2)POD5扩展卡:
·40芯锁紧大孔引出,扩展主板以外接口芯片用。
(四)PC机32位接口实验系统
1、实模式原理实验
(1)显示程序实验
(2)数据传送程序实验
(3)数码转换程序实验
(4)运算类程序实验
(5)分支程序实验
(6)循环程序实验
(7)子程序实验
(8)综合设计程序实验
2、保护模式原理实验
(1)描述符及描述符表实验
(2)任务内控制转移实验
(3)任务切换实验
(4)中断/异常处理实验
(5)虚拟8086环境实验
(6)实模式与保护模式间切换实验
3、32位微机接口技术实验
(1)基本I/O接口设计实验
(2)地址译码电路设计实验
(3)32位存储器扩展实验
(4)8259中断控制器实验
(5)8255并口控制器应用实验
(6)8251串口控制器应用实验
(7)8253定时/计数器应用实验
(8)A/D转换实验
(9)D/A转换实验
(10)电子发声设计实验
(11)键盘扫描及显示设计实验
(12)点阵LED显示设计实验
(13)LCD液晶显示设计实验(须选配LCD单元)
(14)红外实验(选配)
4、微机控制应用实验
(1)直流电机闭环调速实验
(2)温度闭环控制实验
(3)步进电机控制实验
5、Windows下的PCI设备驱动程序及其接口应用设计实验
(1)PCI总线配置操作实验
(2)WDM驱动程序设计实验
(3)I/O操作设计实验
(4)存储器操作设计实验
(5)中断处理设计实验
(6)信号发生器设计实验
(7)温度闭环控制系统设计实验
6、PCI总线扩展应用设计实验(须选配PCI总线应用开发板)
说明:实验项目打“☆”号为选配实验。
EDA技术与应用
一、EDA简介

1.1 EDA概念
EDA(Electronic Design Automation ) 即电子设计自动化,是以微电子技术为物理层面,现代电子设计为灵魂,计算机软件技术为手段,最终形成集成电子系统或专用集成电路芯片ASIC(Application Specific Integrated Circuit)为目的的一门新兴技术。
现代电子设计技术的核心是EDA技术。EDA技术就是依靠功能强大的电子计算机,在EDA工具软件平台上,对以硬件描述语言(如VHDL)为系统逻辑描述手段完成的设计文件,自动地完成逻辑编译、化简、分割、综合、优化和仿真,直至下载到可编程逻辑器件CPLD/FPGA或专用集成电路ASIC芯片中,实现既定的电子电路设计功能。
EDA技术是以计算机为工作平台、以EDA软件工具为开发环境、以硬件描述语言为设计语言、以ASIC(专用集成电路)为实现载体的电子产品自动化设计过程。
1.2 EDA基本特征与优势
EDA工具主要进行三项辅助设计工作:集成电路(IC)设计、电子电路设计及印刷电路板(PCB)设计。
在EDA软件平台上,根据原理图或硬件描述语言HDL完成的设计文件,自动地完成逻辑编译、化简、分割、综合及优化、布局布线、仿真、目标芯片的适配编译、逻辑映射和编程下载等工作。
EDA技术的基本特征:采用高级语言描述;具有系统级仿真和综合能力;具有开放式设计环境;具有丰富的元器件模型库。
EDA技术的优势:(1)采用硬件描述语言,便于复杂系统设计(2)强大的系统建模和电路仿真功能(3)具有自主知识产权(4)开发技术的标准化和规范化(5)全方位地利用计算机的自动设计、仿真和测试技术(6)对设计者的硬件知识和硬件经验要求低。
EDA设计的实现目标:1、印刷电路板设计;2、集成电路(IC或ASIC)设计;3、可编程逻辑器件(FPGA/CPLD)设计;4、混合电路设计。
EDA设计整个综合过程就是将设计者在EDA平台上编辑输入的HDL文本、原理图或状态图形描述,依据给定的硬件结构组件和约束控制条件进行编译、优化、转换和综合,最终获得门级电路甚至更底层的电路描述网表文件。由此可见,综合器工作前,必须给定最后实现的硬件结构参数,它的功能就是将软件描述与给定的硬件结构用某种网表文件的方式对应起来,成为相应互的映射关系。
1.3 EDA工具
EDA工具在EDA技术应用中占据极其重要的位置,EDA的核心是利用计算机完成电子设计全过程自动化,因此,基于计算机环境的EDA软件的支持是必不可少的。EDA工具大致可以分为如下5个模块:设计输入编辑器;仿真器;HDL综合器;适配器(或布局布线器);下载器。当然这种分类不是绝对的,现在往往把各EDA工具集成在一起,如MAX+PLUS Ⅱ 、Quartus Ⅱ等。
二、QuartusⅡ简介及设计流程
2.1 QuartusⅡ简介
QuartusⅡ是Altera公司提供的FPGA/CPLD集成开发软件,Altera是世界上最大的可编程逻辑器件供应商之一。 QuartusⅡ在21世初推出,是Altera全一代FPGA/CPLD集成开发软件MAX+plus II的更新换代产品,其界面友好,使用便捷。在QuartusⅡ上可以完成设计输入、HDL综合、布新布局(适配)、仿真和选择以及硬件测试等流程,它提供了一种与结构无关的设计环境,使设计者能方便地进行设计输入、开始处理和器件编程。
 QuartusⅡ提供了完整的多平台设计环境,能满足各种特定设计的需求,也是单片机可编程系统(SoPC)设计的综合环境和SoPC开发的基本设计工具,并为Altera DSP开发包进行系统模型设计提供了集成综合环境。QuartusⅡ设计完全支持VHDL、Verilog的设计流程,其内部嵌有VHDL、Verilog逻辑综合器。QuartusⅡ与可用利用第三方的综合工具(如Leonardo Spectrum、Synplify Pro、FPGA Complier II),并能直接调用这些工具。
同样 QuartusⅡ具备仿真功能,同时支持第三方的仿真工具(如ModelSin)。此外, QuartusⅡ与MATLAB和DSP Builder结合,可用进行基于FPAG的DSP系统开发,是DSP硬件系统实现的工具EDA工具。
2.2 QuartusⅡ设计流程
Quartus Ⅱ软件包是Altera 公司专有知识产权的开发软件,适用于大规模逻辑电路设计。其界面友好,集成化程度高,易学、易用,深受业界人士好评。QuartusⅡ软件的设计流程概括为设计输入、设计编译、设
计仿真和设计下载等过程。该软件支持多种编辑输入法,以及内存编辑输入法。
与MAX+PLUS Ⅱ相比,Quartus Ⅱ设计软件增加了网络编辑功能,提升了调试能力,解决了潜在的设计延迟,同时其直观易用的接口,深受数字系统设计者的青睐。
 QuartusⅡ设计流程
Quartus II进行EDA设计开发的流程
1、设计输入:原理图输入、HDL文本输入、EDIF网表输入和波形输入等几种。
2、编译:先根据设计要求设定编译方式和编译策略,如器件的选择、逻辑综合方式的选择等。然后根据设定的参数和策略对设计项目进行网表提取、逻辑综合、器件适配,并产生报告文件、延时信息文件和编程文件,供分析、仿真和编程使用。
3、仿真:功能仿真、时序仿真和定时分析。
4、编程与验证:用编程文件通过编程电缆配置PLD,加入实际激励,进行在线测试。
三、VHDL语言简介
3.1 VHDL概述
VHDL语言是随着集成电路系统化和高度集成化的发展而逐步发展起来的,是一种用于数字系统的设计和测试的硬件描述语言。对于小规模的数字集成电路,通常可以用传统的设计输入方法(如原理图输入)来完成,并进行模拟仿真。但纯原理图输入方式对于大型复杂的系统,由于种种条件和环境的制约,其工作效率较低,而且容易出错,暴露出多种弊端。在信息技术高速发展的今天,对集成电路提出了高集成度、系统化、微尺寸、微功耗的要求,因此,高密度可编程逻辑器件和VHDL便应运而生。
3.2 VHDL的特点
VHDL主要用于描述数字系统的结构、行为、功能和接口。除了含有许多具有硬件特征的语句外,VHDL的语言形式和描述风格与句法十分类似于一般的计算机高级语言。应用VHDL进行工程设计的优点是多方面的,主要有:
(1)与其他的硬件描述语言相比,VHDL具有更强的行为描述能力。强大的行为描述能力是避开具体的器件结构,从逻辑行为上描述和设计大规模电子系统的重要保证。就目前流行的EDA工具和VHDL综合器而言,将基于抽象的行为描述风格的VHDL程序综合成为具体的FPGA和CPLD等目标器件的网表文件已不成问题,只是在综合与优化效率上略有差异。
(2)VHDL具有丰富的仿真语句和库函数,使得在任何大系统的设计早期,就能查验设计系统的功能可行性,随时可对系统进行仿真模拟,使设计者对整个工程的结构和功能的可行性做出判断。
(3)用VHDL完成一个确定的设计,可以利用EDA工具进行逻辑综合和优化,并自动把VHDL描述设计转变成门级网表(根据不同的实现芯片)。这种方式突破了门级设计的瓶颈,极大地减少了电路设计的时间和可能发生的错误,降低了开发成本。利用EDA工具的逻辑优化功能,可以自动地把一个综合后的设计变成一个更小、更高速的电路系统。反过来,设计者还可以容易地从综合和优化的电路获得设计信息,返回去更新修改VHDL设计描述,使之更加完善。
(4)VHDL对设计的描述具有相对独立性。设计者可以不懂硬件的结构,也不必顾及最终设计的目标器件是什么,而进行独立的设计。正因为VHDL的硬件描述与具体的工艺技术和硬件结构五官,所以VHDL设计程序的硬件实现目标器件有广阔的选择范围,其中包括各种系列的CPLD、FPGA及各种门阵列器件。
(5)由于VHDL具有类属描述语句和子程序调用等功能,对于完成的设计,在不改变源程序的条件下,只需改变类属参量或函数,就能轻易地改变设计的规模和结构。
(6)VHDL本身的生命周期长。因为VHDL的硬件描述与工艺无关,不会因工艺变化而使描述过时。而与工艺技术有关的参数可通过VHDL提供的属性加以描述,当生产工艺改变时,只需要修改相应程序中的属性参数即可。
3.3 VHDL的基本结构简介
一个VHDL程序必须包括实体(ENTITY)和结构体(ARCHITECTURE)。除实体和结构体外,多数程序还要包含库(LIBRARY)、程序包(PACKAGE)和配置语句说明部分。
VHDL语言设计的基本流程
为了保证用VHDL语言设计硬件电路系统的可靠性、正确性,设计人员在编写VHDL语言程序对硬件电路系统进行设计之前,必须对硬件电路系统的设计目的和设计要求有一个非常明确的认识才行。
设计人员通常遵循以下设计流程。
(1)按照硬件电路系统设计的要求,抽象出硬件设计的总体模块,并根据硬件系统的宏观内外连接关系,对其定义。这部分主要是抽象出各级模块,并用实体对它们的连线接口进行描述。
(2)分析硬件系统的具体功能,按照功能要求编写能实现硬件系统功能的VHDL语言程序。这部分主要就是抽象出不同的结构体进行描述。
(3)对上述两步流程中所设计的VHDL语言程序进行功能仿真,并根据功能的实现情况,对程序做修改和优化。这就是通常所说的前仿真。
(4)VHDL语言程序是对硬件电路的具体描述,当在验证了所编程能正确实现电路功能之后就应该分析VHDL程序的执行效率,这就是通常所说的VHDL程序的综合、优化和布局布线。
(5)在进行综合、优化和逻辑布局布线过程中,由于开发软件的一些不可预见性,或是系统连接时序的不一致性,或是缺少必要的人为的约束,容易导致设计功能的异常性。所以再一次的系统级仿真是必要的,这就是通常所说的后仿真。
(6)在完成以上步骤以后,最后的工作就是程序的固化,也就是将设计好的VHDL程序下载到目标器件中。
四、状态机
4.1 什么是状态机?
状态机是一类很重要的时序电路,是很多数字电路核心部件,是实现高效率、高可靠逻辑控制的重要途径。
状态机就是事物存在状态的一种综合描述,说明任意两个状态之间的转换条件。
一般分为Moore(摩尔)型和Mealy(米勒)型状态机两种。
4.2 状态机的优势 1.状态机克服了纯硬件数字系统顺序方式控制不灵活的缺点;
2.由于状态机的结构相对简单,设计方案相对固定;
3、状态机容易构成性能良好的同步时序逻辑模块,对解决大规模逻辑电路设计中的竞争冒险现象是一个较好的选择。消除电路中的毛刺现象,也有很多设计方案解决;
4、与VHDL的其他描述方式相比,状态机的VHDL表述丰富多样、程序层次分明,结构清晰,易读易懂;在排错、修改和模块移植方面也有其独到的好处;
5、在高速运算和控制方面,状态机更有其巨大的优势。一般由状态机构成的硬件系统比CPU所能完成同样功能的软件系统的工作速度要高3~4个数量级。 6、高可靠性。  4.3 状态机的基本结构和功能
状态机一般包含输入信号、输出信号、一组寄存器记忆状态机的内部状态。
状态机寄存器的下一个状态和输出,不仅与输入信号有关,而且还与当前状态有关,状态机可以认为是组合逻辑和寄存器逻辑的特殊组合。
状态机的基本操作有两种:
1、状态机内部状态转换。状态机经历一系列状态,下一状态有次态逻辑根据当前状态和输入条件决定。
2、产生输出信号序列。输出信号由输出逻辑根据当前状态和输入条件决定。
4.4一般状态机的VHDL描述
一般包含以下几部分:
(1)至少包含一个状态信号,用来指定有限状态机的状态
(2)状态转移指定和输出指定,对应于控制单元中与每一个控制步有关系的转移条件。
(3)时钟信号,一般用来同步。
(4)同步或异步复位信号。
常用的描述方法有:
(1)三进程描述方式——每个进程分别描述次态逻辑、状态寄存器、输出逻辑。
(2)双进程描述方式——一个进程描述次态逻辑、状态寄存器、输出逻辑的其中两个,另外一个进程描述剩余的功能。
(3)单进程描述方式——用一个进程描述状态机的次态逻辑、状态寄存器、输出逻辑全部功能。
4.5 Moore和Mearly状态机的选择
Moore型有限状态机:输出信号仅与当前状态有关。
Mearly型有限状态机:输出信号不仅与当前状态有关,而且还与所有的输入信号有关。
Moore型和Mealy型状态机的选用:
1、moore型有限状态机的输出信号将在时钟信号的触发沿来到后的几个时延后得到输出值,同时在该时钟周期的剩余时间内保持不变,即输入信号在该时钟周期内发生变化,输出信号值也不会改变。也就是说输入信号改变产生的任何效果都会延迟到下一个时钟周期。可以说,moore型状态机最大的优点就是可以将输入部分和输出部分隔离开。
2、Mealy型有限状态机,由于它的输出是输入信号及当前状态的函数。所以如果输入信号发生改变,输出也可以在一个时钟周期的中间发生改变。这样会将输入信号的噪声传递给输出信号。
3、在实际应用中,moore型有限状态机比mealy型有限状态机需要更多的状态。

点击了解》教学实验箱
相关文章
Copyright教学设备厂家 © 上海求育教学设备有限公司 All rights reserved2017沪ICP备17016831号-1 网站地图