产品分类
新闻动态

单片机•嵌入式M•CPLD/FPGA自动化控制技术教学

上海求育QY-JXSY33单片机实验开发系统

上海求育QY-JXSY33单片机实验开发系统是根据MCS-51单片机原理与接口,C8051嵌入式单片机控制技术,自动化控制,CPLD/FPGA技术等课程教学开发生产.学校可以从需要出发,灵活选配各种扩展模块,为各类院校的教学实验、课程设计、毕业设计提供实验开发条件.系统配置98/2000/XP//WIN7等操作平台的单片机仿真调试软件KEILC51 UV2 调试环境,支持汇编、C51语言编译、调试;单片机ISP在线下载软件;串口调试助手;取模软件等;随光盘配有全部的实验源程序和电子版实验指导书。电话021-69918115
详细信息:https://www.mmaan.com/cpjs/1558.html
实验项目

(一)软件实验
(1)清零程序;
(2)拆字程序;
(3)拼字程序;
(4)数据区传送子程序;
(5)数据排序实验;
(6)查找相同数据个数;
(7)无符号双字节快速乘法子程序;
(8)多分支程序;
(9)脉冲计数实验;
(10)电脑时钟实验。
(二)硬件实验
(1)P1口亮灯实验;
(2)P1口转弯灯实验;
(3)P3.3口输入,P1口输出实验;
(4)工业顺序控制实验;
(5)8255 A、B、C口输出方波实验;
(6)8255 PA口控制PB口;
(7)8255控制交通灯;
(8)简单I/O扩展实验;
(9)A/D0809转换实验;
(10)D/A0832转换实验;
(11)8279键盘显示实验;
(12)通用打印机实验;(打印机选配)
(13)微型打印机打印字符、曲线、汉字实验;(打印机选配)
(14)I2C储存卡读写实验;
(15)继电器控制实验;
(16)步进电机控制;
(17)8253方波实验;
(18)小直流电机调速实验;
(19)16*16 LED点阵显示实验;
(20)128*64 LCD液晶显示实验;
(21)8250可编程异步通讯接口实验(自发自收);
(22)8251可编程通讯接口实验(与PC机);
(23)单片机RS232/485串行发送实验(双机通讯);
(24)单片机RS232/485串行接收实验(双机通讯);
(25)温度实验;
(26)压力实验;
(27)DS18B20单总线数字温度传感器实验;
(28)红外线遥控通信实验;
(29)PWM脉冲宽度调制实验;
(30)射极跟随器实验;
(31)电子音乐演奏实验。
 (三)扩展卡实验(选配)☆
1、KZ-1扩展卡完成以下实验:
(32)MAX813看门狗实验
(33)74LS165并转串实验
(34)74LS164串转并实验
(35)查询式键盘实验
(36)74LS138译码实验
2、KZ-2扩展卡完成以下实验:
(37)LM331 V/F转换实验
(38)LM331 F/V转换实验
(39)光耦隔离模块实验
3、KZ -3扩展卡完成以下实验
 (40)串行EEPROM 93C46读写实验
 (41)I2C AT24C02读写实验
 (42)TLC549串行A/D转换实验
 (43)TLC5615  10位D/A串行转换实验
 (44)PCF8563  I²C日历时钟实验
4、KZ -4扩展卡完成以下实验:
 (45)ISD1730语音录放实验
5、CAN总线扩展卡:
(46)CAN总线通讯接口实验
6、TCP/IP以太网扩展卡
(47)以太网TCP/IP协议接口实验
7、USB2.0卡
(48)USB2.0通讯接口实验。
8、1032扩展卡:
   Lattice公司:Lattice1032E芯片实验开发。
9、1K30扩展卡:
Atera公司:EP1K30TC144芯片实验开发。
10、C8051F020扩展卡         DPJ-EC5仿真器:
C8051F020是完全集成的混合信号系统级MCU芯片。下面列举了一些主要芯片资源:
(1)高速流水线结构的8051兼容的CIP-51内核(最大25MIPS);
(2)全速非侵入式的系统调试接口(片内);
(3)真正12位100ksps的8通道ADC,带PGA和模拟多路开关;8位500ksps的8通道ADC;
(4)两个12位DAC,可编程更新时序;
(5)64K字节可在系统编程的FLASH存储器;
(6)4352(4096+256)字节的片内RAM;
(7)可寻址64K字节地址空间的外部数据存储器接口;
(8)硬件实现的SPI,SMBus/IIC和两个UART串行接口;
(9)5个通用的16位定时器;
(10)具有5个捕捉/比较模块的可编程计数器/定时器阵列;
(11)片内看门狗定时器,2个比较器,VDD监视器和温度传感器;
(12)64个I/O端口;
(13)-40~85度工业级温度范围;
(14)2.7V~3.6V工作电压,100脚TQFP封装;
11、数控式创新实验平台扩展卡(实验对象通过软件仿真)
闭环控制
(1)机器人扫地雷实验;
(2)刀库捷径选择实验;
(3)四层电梯实验;
(4)四级传送带实验;
(5)邮件分拣实验;
(6)水塔水位控制实验;
(7)交流电机Y/△起动实验;
开环控制:
(8)交通信号灯实验;
(9)步进电机实验;
(10)舞台灯实验;
(11)LED显示实验;
(12)液体混合装置实验;
(13)八通道逻辑分析实验;
(14)温度压力实验;
(15)连线自检实验;
1.CPLD
CPLD主要是由可编程逻辑宏单元(LMC,LogicMacroCell)围绕中心的可编程互连矩阵单元组成,其中LMC逻辑结构较复杂,并具有复杂的I/O单元互连结构,可由用户根据需要生成特定的电路结构,完成一定的功能。由于CPLD内部采用固定长度的金属线进行各逻辑块的互连,所以设计的逻辑电路具有时间可预测性,避免了分段式互连结构时序不完全预测的缺点。到90年代,CPLD发展更为迅速,不仅具有电擦除特性,而且出现了边缘扫描及在线可编程等高级特性。较常用的有Xilinx公司的EPLD和Altera公司的CPLD。
2.FPGA
FPGA通常包含三类可编程资源:可编程逻辑功能块、可编程I/O块和可编程互连。可编程逻辑功能块是实现用户功能的基本单元,它们通常排列成一个阵列,散布于整个芯片;可编程I/O块完成芯片上逻辑与外部封装脚的接口,常围绕着阵列排列于芯片四周;可编程内部互连包括各种长度的连线线段和一些可编程连接开关,它们将各个可编程逻辑块或I/O块连接起来,构成特定功能的电路。不同厂家生产的FPGA在可编程逻辑块的规模,内部互连线的结构和采用的可编程元件上存在较大的差异。较常用的有Altera、Xinlinx和Actel公司的FPGA。FPGA一般用于逻辑仿真。电路设计工程师设计一个电路首先要确定线路,然后进行软件模拟及优化,以确认所设计电路的功能及性能。然而随着电路规模的不断增大,工作频率的不断提高,将会给电路引入许多分布参数的影响,而这些影响用软件模拟的方法较难反映出来,所以有必要做硬件仿真。FPGA就可以实现硬件仿真以做成模型机。将软件模拟后的线路经一定处理后下载到FPGA,就可容易地得到一个模型机,从该模型机,设计者就很直观地测试其逻辑功能及性能指标。

尽管FPGA和CPLD都是可编程ASIC器件,有很多共同特点,但由于CPLD和FPGA结构上的差异,具有各自的特点:
  ①CPLD更适合完成各种算法和组合逻辑,FPGA更适合于完成时序逻辑。换句话说,FPGA更适合于触发器丰富的结构,而CPLD更适合于触发器有限而乘积项丰富的结构。
  ②CPLD的连续式布线结构决定了它的时序延迟是均匀的和可预测的,而FPGA的分段式布线结构决定了其延迟的不可预测性。
  ③在编程上FPGA比CPLD具有更大的灵活性。CPLD通过修改具有固定内连电路的逻辑功能来编程,FPGA主要通过改变内部连线的布线来编程;FPGA可在逻辑门下编程,而CPLD是在逻辑块下编程。
  ④FPGA的集成度比CPLD高,具有更复杂的布线结构和逻辑实现。
  ⑤CPLD比FPGA使用起来更方便。CPLD的编程采用E2PROM或FASTFLASH技术,无需外部存储器芯片,使用简单。而FPGA的编程信息需存放在外部存储器上,使用方法复杂。
  ⑥CPLD的速度比FPGA快,并且具有较大的时间可预测性。这是由于FPGA是门级编程,并且CLB之间采用分布式互联,而CPLD是逻辑块级编程,并且其逻辑块之间的互联是集总式的。
  ⑦ 在编程方式上,CPLD主要是基于E2PROM或FLASH存储器编程,编程次数可达1万次,优点是系统断电时编程信息也不丢失。CPLD又可分为在编程器上编程和在系统编程两类。FPGA大部分是基于SRAM编程,编程信息在系统断电时丢失,每次上电时,需从器件外部将编程数据重新写入SRAM中。其优点是可以编程任意次,可在工作中快速编程,从而实现板级和系统级的动态配置。
  ⑧CPLD保密性好,FPGA保密性差。
  ⑨一般情况下,CPLD的功耗要比FPGA大,且集成度越高越明显。
  随著复杂可编程逻辑器件(CPLD)密度的提高,数字器件设计人员在进行大型设计时,既灵活又容易,而且产品可以很快进入市场。许多设计人员已经感受到CPLD容易使用、时序可预测和速度高等优点,然而,在过去由于受到CPLD密度的限制,他们只好转向FPGA和ASIC。现在,设计人员可以体会到密度高达数十万门的CPLD所带来的好处。
CPLD结构在一个逻辑路径上采用1至16个乘积项,因而大型复杂设计的运行速度可以预测。因此,原有设计的运行可以预测,也很可靠,而且修改设计也很容易。CPLD在本质上很灵活、时序简单、路由性能极好,用户可以改变他们的设计同时保持引脚输出不变。与FPGA相比,CPLD的I/O更多,尺寸更小。
  如今,通信系统使用很多标准,必须根据客户的需要配置设备以支持不同的标准。CPLD可让设备做出相应的调整以支持多种协议,并随著标准和协议的演变而改变功能。这为系统设计人员带来很大的方便,因为在标准尚未完全成熟之前他们就可以著手进行硬件设计,然后再修改代码以满足最终标准的要求。CPLD的速度和延迟特性比纯软件方案更好,它的NRE费用低於ASIC,更灵活,产品也可以更快入市。CPLD可编程方案的优点如下:
  ● 逻辑和存储器资源丰富(CypressDelta39K200的RAM超过480Kb)
  ● 带冗余路由资源的灵活时序模型
  ● 改变引脚输出很灵活
  ● 可以装在系统上后重新编程
  ● I/O数目多
  ● 具有可保证性能的集成存储器控制逻辑
  ● 提供单片CPLD和可编程PHY方案
  由于有这些优点,设计建模成本低,可在设计过程的任一阶段添加设计或改变引脚输出,可以很快上市
  CPLD的结构
  CPLD是属於粗粒结构的可编程逻辑器件。它具有丰富的逻辑资源(即逻辑门与寄存器的比例高)和高度灵活的路由资源。CPLD的路由是连接在一起的,而FPGA的路由是分割开的。FPGA可能更灵活,但包括很多跳线,因此速度较CPLD慢。
  CPLD以群阵列(arrayofclusters)的形式排列,由水平和垂直路由通道连接起来。这些路由通道把信号送到器件的引脚上或者传进来,并且把CPLD内部的逻辑群连接起来。
  CPLD之所以称作粗粒,是因为,与路由数量相比,逻辑群要大得到。CPLD的逻辑群比FPGA的基本单元大得多,因此FPGA是细粒的。
  CPLD的功能块
  CPLD最基本的单元是宏单元。一个宏单元包含一个寄存器(使用多达16个乘积项作为其输入)及其它有用特性。
  因为每个宏单元用了16个乘积项,因此设计人员可部署大量的组合逻辑而不用增加额外的路径。这就是为何CPLD被认为是“逻辑丰富”型的。
  宏单元以逻辑模块的形式排列(LB),每个逻辑模块由16个宏单元组成。宏单元执行一个AND操作,然后一个OR操作以实现组合逻辑。
  每个逻辑群有8个逻辑模块,所有逻辑群都连接到同一个可编程互联矩阵。
  每个群还包含两个单端口逻辑群存储器模块和一个多端口通道存储器模块。前者每模块有8,192b存储器,后者包含4,096b专用通信存储器且可配置为单端口、多端口或带专用控制逻辑的FIFO。
  CPLD有什麽好处?
  I/O数量多
  CPLD的好处之一是在给定的器件密度上可提供更多的I/O数,有时甚至高达70%。
  时序模型简单
  CPLD优于其它可编程结构之处在于它具有简单且可预测的时序模型。这种简单的时序模型主要应归功于CPLD的粗粒度特性。
  CPLD可在给定的时间内提供较宽的相等状态,而与路由无关。这一能力是设计成功的关键,不但可加速初始设计工作,而且可加快设计调试过程。
  粗粒CPLD结构的优点
  CPLD是粗粒结构,这意味著进出器件的路径经过较少的开关,相应地延迟也小。因此,与等效的FPGA相比,CPLD可工作在更高的频率,具有更好的性能。
  CPLD的另一个好处是其软件编译快,因为其易于路由的结构使得布放设计任务更加容易执行。
  细粒FPGA结构的优点
  FPGA是细粒结构,这意味著每个单元间存在细粒延迟。如果将少量的逻辑紧密排列在一起,FPGA的速度相当快。然而,随著设计密度的增加,信号不得不通过许多开关,路由延迟也快速增加,从而削弱了整体性能。CPLD的粗粒结构却能很好地适应这一设计布局的改变。
  灵活的输出引脚
  CPLD的粗粒结构和时序特性可预测,因此设计人员在设计流程的后期仍可以改变输出引脚,而时序仍保持不变。
  新的CPLD封装
  CPLD有多种密度和封装类型,包括单芯片自引导方案。自引导方案在单个封装内集成了FLASH存储器和CPLD,无须外部引导单元,从而可降低设计复杂性并节省板空间。在给定的封装尺寸内,有更高的器件密度共享引脚输出。这就为设计人员提供了“放大”设计的便利,而无须更改板上的引脚输出。

点击了解》教学实验箱
相关文章
Copyright教学设备厂家 © 上海求育教学设备有限公司 All rights reserved2017沪ICP备17016831号-1 网站地图